简单的verilog设计同步清零触发器求助

2019-07-15 23:40发布

使用带同步清零端的D触发器(清零高电平有效,在时钟下降沿执行清零操作)设计下一个下降沿触发的D触发器,只能使用行为语。使用设计出的D触发器输出一个周期为10个时间单位的时钟信号。

下面是网上的答案,但是感觉这答案有问题,肯定是异步清零不是同步,还有最后能输出10个时间单位的时钟信号吗?
module D_FF(clr,clk,D,Q)
  input clr,clk,D;
  output Q;
  reg Q;
  always @(posedge clr or necedge clk)
    begin
    if (clr)
      Q = 0;
    else
      #10 Q <= D;
    end
endmodule

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
yuxiyzy
1楼-- · 2019-07-16 02:08
 精彩回答 2  元偷偷看……
liweiran
2楼-- · 2019-07-16 06:49
yuxiyzy 发表于 2015-7-30 22:12
没问题吧,,,

这是异步清零吧
yuxiyzy
3楼-- · 2019-07-16 08:33
liweiran 发表于 2015-7-31 08:42
这是异步清零吧

是异步清零,
nudt1001
4楼-- · 2019-07-16 11:16
同问!!!!!TONGWEN
花涛
5楼-- · 2019-07-16 11:33
确实是异步清零
sgdxhhw
6楼-- · 2019-07-16 15:55
 精彩回答 2  元偷偷看……

一周热门 更多>