FPGA新手 请教modelsim仿真 无波形显示,是no data

2019-07-15 23:51发布

module mutiplier2(x, y, clk, q);
        input [7:0] x;
     input [7:0] y;
     input clk;
     output [15:0] q;
  reg [15:0] q;

  parameter s0=0, s1=1, s2=2;
reg [2:0] count;
reg [1:0] state;
reg [15:0] p1, t;
      reg [7:0] y_reg,z;

   initial state<=s0;

always @(posedge clk) begin
                   case (state)
                       s0 : begin
                              y_reg <= y;
                              state <= s1;
                              count <= 0;


`timescale 1ns / 1ps

module tb_mul;
// Inputs
reg [7:0] x;
reg [7:0] y;
reg clk;
// Outputs
wire [15:0] q;
// Instantiate the Unit Under Test (UUT)
mul uut (
.x(x),
.y(y),
.clk(clk),
.q(q)
);
initial begin
  clk=0;
  forever#50 clk=~clk;
end
initial begin
// Initialize Inputs
x = 0;
y = 0;
clk = 0;
// Wait 100 ns for global reset to finish
#100;
x=8'b00001111;
y=8'b11110000;
#100 stop;

// Add stimulus here
end
//always   
endmodule


乘法器的仿真,,请问是不是 testbench 的错误导致没有波形?

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
杰丶小李
1楼-- · 2019-07-16 01:34
 精彩回答 2  元偷偷看……
小工兵
2楼-- · 2019-07-16 04:36
奇怪,你的第一个module最后怎么没有endmodule啊?

一周热门 更多>