求助,modelsim在仿真的时候没有波形

2019-07-15 23:59发布

在quartus里运行没有错误,调用modelsim时不出波形


`timescale 1 ns/ 1 ns
module fp_prj_vlg_tst();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire fm;

// assign statements (if any)                          
fp_prj i1 (
// port map - connection between master ports and signals/registers   
        .clk(clk),
        .fm(fm),
        .rst_n(rst_n)
);

initial                                             
begin                                                  
        clk=0;
        forever
        #10 clk=~clk;
end                                                   
initial
begin
        rst_n=0;
        #1000
        rst_n=1;
        #5000
        $stop;
end                                                   
endmodule

modelsim仿真 modelsim仿真
QQ截图20150418084126.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
17条回答
蜗牛跳海
1楼-- · 2019-07-16 05:59
 精彩回答 2  元偷偷看……
yuzhuo123
2楼-- · 2019-07-16 08:10
解决了,我就来打个酱油,混个积分,希望不要介意哈
wenlee2014
3楼-- · 2019-07-16 11:39
电路链接没错的话,一般是电压问题,修改一下参数
qiuyechenxing
4楼-- · 2019-07-16 15:10
蜗牛跳海 发表于 2015-4-18 10:05
已解决!!!!!!

是什么原因呢,楼主分享一下吧
qiuyechenxing
5楼-- · 2019-07-16 20:10
蜗牛跳海 发表于 2015-4-18 10:05
已解决!!!!!!

是什么原因呢,楼主分享一下吧
蜗牛跳海
6楼-- · 2019-07-17 01:21
 精彩回答 2  元偷偷看……

一周热门 更多>