求助,modelsim在仿真的时候没有波形

2019-07-15 23:59发布

在quartus里运行没有错误,调用modelsim时不出波形


`timescale 1 ns/ 1 ns
module fp_prj_vlg_tst();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire fm;

// assign statements (if any)                          
fp_prj i1 (
// port map - connection between master ports and signals/registers   
        .clk(clk),
        .fm(fm),
        .rst_n(rst_n)
);

initial                                             
begin                                                  
        clk=0;
        forever
        #10 clk=~clk;
end                                                   
initial
begin
        rst_n=0;
        #1000
        rst_n=1;
        #5000
        $stop;
end                                                   
endmodule

modelsim仿真 modelsim仿真
QQ截图20150418084126.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
17条回答
qiuyechenxing
1楼-- · 2019-07-17 03:45
希望新的一年大家都能越来越好
犹如qq
2楼-- · 2019-07-17 07:19
tb是不是测试平台啊
349060579
3楼-- · 2019-07-17 11:37
蜗牛跳海 发表于 2015-4-18 10:05
已解决!!!!!!

你是怎么解决的,我也遇到了同样的问题。
小敏6787
4楼-- · 2019-07-17 12:43
蜗牛跳海 发表于 2015-4-18 10:05
已解决!!!!!!

我也有你这个问题     请问是怎么解决的
chowphone
5楼-- · 2019-07-17 16:28
 精彩回答 2  元偷偷看……
houxiaoxiao
6楼-- · 2019-07-17 19:44
我也遇到了同样的问题,认为是程序的问题,但是改了还是不对,求详细说明一下解决方法

一周热门 更多>