求助,modelsim在仿真的时候没有波形

2019-07-15 23:59发布

在quartus里运行没有错误,调用modelsim时不出波形


`timescale 1 ns/ 1 ns
module fp_prj_vlg_tst();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire fm;

// assign statements (if any)                          
fp_prj i1 (
// port map - connection between master ports and signals/registers   
        .clk(clk),
        .fm(fm),
        .rst_n(rst_n)
);

initial                                             
begin                                                  
        clk=0;
        forever
        #10 clk=~clk;
end                                                   
initial
begin
        rst_n=0;
        #1000
        rst_n=1;
        #5000
        $stop;
end                                                   
endmodule

modelsim仿真 modelsim仿真
QQ截图20150418084126.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
17条回答
何瑶
1楼-- · 2019-07-17 19:47
修改参数试试看上计算机房
June_123
2楼-- · 2019-07-17 22:42
怎么生成tb文件,怎么设置
Casy锴希
3楼-- · 2019-07-18 02:23
楼主我也是这样的问题,请问这应该怎么解决?
Lyndonnn
4楼-- · 2019-07-18 07:31
 精彩回答 2  元偷偷看……
守恒M&J
5楼-- · 2019-07-18 11:28
蜗牛跳海 发表于 2015-4-20 17:30
更换仿真工具时重新添加一下tb。

楼主,重新添加tb什么意思啊??卡了半天了,project没有文件,怎么添加工程啊?

一周热门 更多>