这个脉冲怎么设计啊?刚刚学习,不怎么会。。。

2019-07-16 00:00发布

怎么利用10M的时钟,用verilog设计这样的周期波形,刚刚学习FPGA,不怎么会写,搞了好久都没搞出来。。。。。。求大神指导
BZJ72FS]CJV`EK~`_F{7@ZP.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
mshmems
1楼-- · 2019-07-16 04:34
先输出0,等20us后,再输出1或T,再等10us,再在外面价格循环即可
颓废葬流年
2楼-- · 2019-07-16 08:44
mshmems 发表于 2015-4-11 23:22
先输出0,等20us后,再输出1或T,再等10us,再在外面价格循环即可

谢谢啦,我试试看
颓废葬流年
3楼-- · 2019-07-16 13:37
 精彩回答 2  元偷偷看……
mshmems
4楼-- · 2019-07-16 16:57
不客气,共同提高
犬冰离
5楼-- · 2019-07-16 22:01
中间20微秒空的表示没有输出吗
颓废葬流年
6楼-- · 2019-07-16 22:51
犬冰离 发表于 2015-5-8 11:21
中间20微秒空的表示没有输出吗

低电平              

一周热门 更多>