【FPGA每周一练】FPGA的HDL建模第三周

2019-07-16 00:19发布

FPGA每周一练】FPGA的HDL建模第三周

小编有话讲:各位用户朋友们,我们的每周一练在@chenchu0910 的付出与大家的支持下,已经迎来了第三周,看到有很多朋友一直在跟着练习,我们都感到很欣慰,希望电子发烧友以后能给大家提供更多的学习机会,也希望大家踊跃发言,我们愿与您携手共进,希望在这里能给您一片自由的学习与交流天地!

上周问题答案如下:
问题1:设计一个10进制计数器;源代码如下:
  1. module count(clk,rstn,en,dout);
  2.   input          clk,rstn,en;
  3.   output        reg        [4:0]        dout;

  4. always@(posedge clk or negedge rstn)
  5.         if (!rstn)
  6.                 dout<=4'b0000;
  7.         else
  8.                 if(en==1'b1)
  9.                         begin
  10.                         if(dout==4'b1010)
  11.                                 dout<=4'b0000;
  12.                         else
  13.                                 dout<=dout+1;
  14.                         end
  15. endmodule
复制代码


波形图如下:

每周3.bmp

问题2:设计3-8译码器。源代码如下:
  1. module decode(Ain,en,dout);
  2.   input                                [2:0]         Ain;
  3.   input                                                en;
  4.   output        reg                [7:0]        dout;

  5. always@(en or Ain)
  6.         if(en==1'b1)
  7.                 case(Ain)
  8.                         3'b000: dout <= 8'b11111110;
  9.                         3'b001: dout <= 8'b11111101;
  10.                         3'b010: dout <= 8'b11111011;
  11.                         3'b011: dout <= 8'b11110111;
  12.                         3'b100: dout <= 8'b11101111;
  13.                         3'b101: dout <= 8'b11011111;
  14.                         3'b110: dout <= 8'b10111111;
  15.                         3'b111: dout <= 8'b01111111;
  16.                 endcase
  17.     else
  18.          dout<=8'b11111111;
  19. endmodule
复制代码



波形图如下:

每周32.bmp

以上便是第二周的答案,小伙伴们做对了吗?
分割.png

本周题目是:用Verilog HDL设计


1、8位循环移位寄存器


2、D触发器(上升沿触发)


下周题目预告:各类触发器


往期回顾:                                                                                                   【FPGA每周一练】FPGA的HDL建模第一练
【FPGA每周一练】FPGA的HDL建模第二周



友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
泡芙奶昔
1楼-- · 2019-07-16 05:42
我来写一个8位循环移位寄存器
  1. module yiweijicun(in,out,clk,rst);
  2. input [7:0] in;
  3. input clk,rst,load;
  4. output out;
  5. reg out;
  6. reg [7:0] temp;

  7. always @(posedge clk)

  8. begin
  9.         if (!rst)
  10.            out=0;
  11.         else begin
  12.              if(!load)   
  13.                  temp=in;
  14.              else begin
  15.                   out=temp[7];
  16.                   temp[7]=temp[6];
  17.                   temp[6]=temp[5];
  18.                   temp[5]=temp[4];
  19.                   temp[4]=temp[3];
  20.                   temp[3]=temp[2];
  21.                   temp[2]=temp[1];
  22.                   temp[1]=temp[0];
  23.                   end
  24.               end
  25. end
复制代码

评分

参与人数 1威望 +5 +5 积分 +5 收起 理由 Elecfans管家 + 5 + 5 + 5 感谢支持!

查看全部评分

Oo时间小鱼oO
2楼-- · 2019-07-16 09:56
 精彩回答 2  元偷偷看……
ppa3278
3楼-- · 2019-07-16 13:06
  1. //8位移位寄存器
  2. module shift8bit(
  3. input wire clk,
  4. input wire clr,
  5. input wire datain,
  6. output reg [7:0]qout
  7.     );

  8. always @ (posedge clk or posedge clr)
  9.         begin
  10.         if(clr == 1)
  11.                 begin
  12.                 qout <= 0;
  13.                 end
  14.         else
  15.                 begin
  16.                         qout[7] <= datain;
  17.                         qout[6:0] <= qout[7:1];
  18.                 end
  19.         end


  20. endmodule
  21. //D触发器
  22. module DFF(
  23. input wire clk,
  24. input wire clr,
  25. input wire d,
  26. output reg q
  27. );

  28. always @ (posedge clk or posedge clr)
  29.         begin
  30.         if(clr == 1)
  31.                 begin
  32.                 q <= 0;
  33.                 end
  34.         else
  35.                 begin
  36.                         q <= d;
  37.                 end
  38.         end
  39. endmodule
复制代码

顺便谁能给个testbench的好教程?谢谢了!
小蟹
4楼-- · 2019-07-16 13:52
学习了。。。谢谢
Peabago
5楼-- · 2019-07-16 17:51
楼主,你好!我刚接触FPGA,请问要参加你们的每周一练活动,需要安装什么软件呢?Xilinx的13.4版本可以吗?还需要其他的工具吗?
天使的名字就是
6楼-- · 2019-07-16 18:54
  1. module shiftreg(clk,rst,D,Dout);
  2. input D,clk,rst;
  3. output[7:0] Dout;
  4. reg[7:0] Dout;
  5. always @(posedge clk or negedge rst)
  6.      begin
  7.             if(~rst) Dout<=8'b00000000;
  8.                  else     Dout<={Dout[6:0],D};
  9.      end
  10. endmodule
复制代码

一周热门 更多>