【FPGA每周一练】FPGA的HDL建模第二周

2019-07-16 00:20发布

FPGA每周一练】FPGA的HDL建模第二周
今天迎来了我们的FPGA每周一练第二周
首先回答上周一位坛友@sunedi561的提:全加器有什么典型应用?
简单来说全加器除了可以用作二进制数的加法运算外,还可以应用在其它方向。例如:二进制的减法、乘法运算、BCD码的加法、减法、码组变换、数码比较,以及其它组合电路的设计等方面。
话入正题:
感谢大家的热情参与,感谢大家的积极回复。对于上周问题
1.用Verilog实现设计一个全加
2.四选一的多路选择器。
我看见对选择器是设计基本一样,使用的是CASE语句,用CASE语句可以实现无优先级的选择。当然在新版Verilog综合器中使用IF时只要条件变量各不相同,且相斥,也是可以综合出无优先级的。那我还是在写一遍:

  1. module MUX( C,D,E,F,S,out);
  2.         input                         C,D,E,F ;         //input
  3.         input         [1:0]         S ;                 //select control
  4.         output         reg         out ;                 //result

  5. //___________________cut_______________________//
  6. always@(C or D or E or F or S)
  7.         begin
  8.                 case (S)
  9.                         2'b00 : Mux_out = C ;
  10.                         2'b01 : Mux_out = D ;
  11.                         2'b10 : Mux_out = E ;
  12.                         default : Mux_out = F ;
  13.                 endcase
  14.         end
  15. endmodule

复制代码但是在全加器上问题还是挺多的,不过不是严重问题。首先问题是我在写问题的时候写错一个字,我想写的是设计“一位全加器”但是写成了“一个全加器”。好在大家都理解了题目意思。
很多人会把写Verilog代码想象成写C类程序。事实上这种想法是不对的,因为Verilog是硬件描述语言。何为硬件描述语言?就是描述电路的,描述功能,实现功能。只要功能实现了,代码就要像看女生的超短裙一样,越短越好。
但是你写的代码不单纯是给计算机读的,如果如果单纯的给计算机读,那好啦,写0101010101....计算机岂不是很开心。代码最主要就是给人读的,给人读的就要注意什么?可读性!!(这个是个很重要的问题,这个课程结束后,可能会讲RTL编码规范,到时候细讲。)那我们此次的代码要怎样写呢?我也给个参考:
  1. module fulladd(cout, sum, ain, bin, cin);
  2.         input                 ain, bin, cin;                //input
  3.         output                 sum, cout;                        
  4.         
  5.         wire                 sum;                                //summation
  6.         wire                 cout;                                //carry output
  7. //________________________cut__________________________//
  8. assign sum = ain ^ bin ^ cin;
  9. assign cout = (ain & bin) | (bin & cin) | (ain & cin);

  10. endmodule
复制代码本周问题来了:
1、设计一个10进制计数器;
2、设计3-8译码器。
欢迎各位朋友们积极跟帖回答交流,希望能和大家在学习FPGA的道路上携手共进,共同进步,如果有任何问题也可回帖探讨!

【FPGA每周一练】FPGA的HDL建模第一周

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
18条回答
18972375993
1楼-- · 2019-07-17 12:42
18972375993 发表于 2014-12-1 23:03
您好!这是我写的六十进制计数器,不知道倒数第五行有什么错误?可以指点一下吗?谢谢!

嗯嗯,已经解决了,不好意思啊,我只在上传之前看而已,上传之后忘记了,谢谢!
camp
2楼-- · 2019-07-17 13:21
我是棋手 发表于 2014-11-27 16:11
21行和23行有错~!

标点符号不对? 语法错误?
alechang
3楼-- · 2019-07-17 17:03
3-8译码器
  1. module coder_38(in, out);
  2. input [2:0] in;
  3. output [7:0] out;
  4. reg [7:0] out;
  5. always @(in) begin
  6. case(in)
  7. 3'd0 : out=8'b11111110;
  8. 3'd1 : out=8'b11111101;
  9. 3'd2 : out=8'b11111011;
  10. 3'd3 : out=8'b11110111;
  11. 3'd4 : out=8'b11101111;
  12. 3'd5 : out=8'b11011111;
  13. 3'd6 : out=8'b10111111;
  14. 3'd7 : out=8'b01111111;
  15. endcase
  16. end
  17. endmodule
复制代码

ゥ宿:)命ゥ-
4楼-- · 2019-07-17 22:52
module DecimalCounter(clk, rst_n, en, cnt);
input clk, rst_n, en;
output cnt;
reg [3:0] cnt;
always @(posedge clk or negedge rst_n)
if(!rst_n)
        cnt <= 4'd0;
else
        if(en)
        begin
                if(cnt==4'd10)
                        cnt <= 4'd0;
                else
                        cnt <= cnt + 1'b1;
    end
endmodule
ゥ宿:)命ゥ-
5楼-- · 2019-07-17 23:20
 精彩回答 2  元偷偷看……
han凯123
6楼-- · 2019-07-17 23:29
小萃米 发表于 2014-11-26 15:59

我一般都是 先用if 判断是否溢出 然后再赋值 自增
感觉还是我的比较清楚一些

一周热门 更多>