【FPGA每周一练】FPGA的HDL建模第一周

2019-07-16 00:21发布

FPGA每周一练】FPGA的HDL建模第一练

本次电子发烧友论坛邀请@chenchu0910 ,来和大家一起练习FPGA的HDL建模。

课程简介:这一版的论坛笔记只适合入门者,因为这论坛笔记按着由浅入深编辑的,只适合做入门引子。建议初学者者先从一些权威的参考书去了解“什么是Verlilog HDL 语言”,同时在跟着我们的论坛笔记进行练习,以达到快速理解的目的。FPGA 宛一堆乐高积和Verilog HDL 是自己的手(工具) ,自己可以随心所愿的要怎么拆就怎么拆。


课程分类:

一、基础电路设计;
二、典型常用电路设计;
三、综合运用电路设计。


首先,我们练习基础电路。因为大规模设计就是由像触发器、锁存器、多路选择器、解码器、编码器、饱和/非饱和计数器、FSM等常用基本电路组成。也就是说电路设计,你写到这要的单元就可以啦。在复杂的电路也是由他们构成。所以基础电路是根本,一点要练熟基础。


本周课题:
1、设计一个全加器。
2、四选一的多路选择器
是不是觉得很简单,一蹴而就?答案下周三更新。(您可以随时在网上搜索,但不建议将与他人讨论)

PS:每周我们将在回答的用户中由嘉宾抽取一位幸运用户进行积分奖励!







友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
19条回答
camp
1楼-- · 2019-07-16 21:51
这是一个好帖子。支持支持
mlj270
2楼-- · 2019-07-16 22:37
3个74244并联如何编程,请各位大侠指点。
alechang
3楼-- · 2019-07-17 02:52
 精彩回答 2  元偷偷看……
Elecfans管家
4楼-- · 2019-07-17 05:47
camp 发表于 2014-11-20 20:33
这是一个好帖子。支持支持

哈哈 高手现身啦 指点几句呀!
alechang
5楼-- · 2019-07-17 07:42
四选一选择器
  1. module xuanze(a,b,c,d,s1,s2,s3,dout);
  2. input a,b,c,d,s1,s2;
  3. output dout;
  4. reg [1:0] s3;
  5. reg dout;
  6. always@(a,b,c,d,s3) begin
  7. s3={s1,s2};
  8. if(s3==0) dout<=a;
  9. if(s3==1) dout<=b;
  10. if(s3==2) dout<=c;
  11. if(s3==0) dout<=d;
  12. end
  13. endmodule
复制代码

评分

参与人数 1威望 +2 +2 收起 理由 haozix521 + 2 + 2 资源分享达人,加分鼓励!

查看全部评分

haozix521
6楼-- · 2019-07-17 12:15
  1. module mux4 (out,in0,in1,in2,in3,in4,s0,s1);
  2. input in0,in1,in2,in3,in4,s0,s1;
  3. output out;

  4. assign out = s1 ? (s0?in3:in2) : (s0?in1:in0);

  5. endmodule
复制代码这是四选一数据选择器。

一周热门 更多>