移位寄存器Shift Register(RAM-based)的如何实现延时

2019-07-16 00:22发布

想请问大家,移位寄存器Shift Register(RAM-based)的如何实现延时,也看了一些关于IP核的资料,可是还是不是很明白,看到下面这个图的例子,我只知道抽头是4,distance是3,貌似延时就是用4*3=12个时钟,可是我不是很理解,传统的移位寄存器和这种基于RAM究竟区别在哪里呢,我觉得都是从shiftout输出啊,真的不太明白,希望大牛们赐教,能写下分析过程,谢谢大家了。
QQ图片20141113171320.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
lilong135984
1楼-- · 2019-07-16 05:30
基于RAM的移位寄存器可以输出shiftout[7..0],跟输入位宽一样。也可以输出taps[31:0],抽头就是输出位置,可以并行的输出1,4,7,10这个4个数,下一个时钟并行输出2,5,8,11这四个数,位宽=抽头数*输入数据位宽。
dora2568
2楼-- · 2019-07-16 09:41
 精彩回答 2  元偷偷看……
Bob-Liu
3楼-- · 2019-07-16 10:21
lilong135984 发表于 2014-11-19 09:28
基于RAM的移位寄存器可以输出shiftout[7..0],跟输入位宽一样。也可以输出taps[31:0],抽头就是输出位置,可以并行的输出1,4,7,10这个4个数,下一个时钟并行输出2,5,8,11这四个数,位宽=抽头数*输入数据位宽。

高手啊,回答的真好

一周热门 更多>