一个技术问题,本人菜鸟,希望大神们解答下,谢谢~

2019-07-16 00:26发布

tica, SimSun, sans-serif">reg [15:0]Count1;
         
         always @ ( posedge CLK  or negedge RSTn )//1m
             if( !RSTn )
                      Count1 <= 16'd0;
                  else if( Count1 == T1MS )
                      Count1 <= 16'd0;
                  else
                      Count1 <= Count1 + 1'b1;


        /*****************************************/
                                
         reg [9:0]Count_MS;                        
                                
         always @ ( posedge CLK or negedge RSTn )//100m
             if( !RSTn )
                      Count_MS <= 10'd0;
                  else if( Count_MS == 10'd100 )
                      Count_MS <= 10'd0;
                  else if( Count1 == T1MS )
                      Count_MS <= Count_MS + 1'b1;
这两个计数是用同一个时钟,难道不是在同一时钟上升沿同时在计数吗,可按仿真结果Count1计数1MS(50000次),Count_MS才计数1次,难道时钟经过了50000上升沿时Count_MS才计数1次??求大神指点~
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
TKFPGA
1楼-- · 2019-07-16 03:01
第二个always块里面,不本来就是Count1计数到T1MS,Count_MS才加一么
杨宝平
2楼-- · 2019-07-16 05:06
 精彩回答 2  元偷偷看……

一周热门 更多>