急急急!Xilinx仿真出错

2019-07-16 00:29发布

本帖最后由 阿兮 于 2014-9-17 18:56 编辑

    小弟初学者,使用ISE仿真(调用Modelsim)时出现以下错误,希望各位大哥大姐帮忙看下怎么解决,拜谢!
ERROR: Failed to map the library
Reason: couldn't execute "vmap": no such file or directory
* wave file already exists (div31_test_wave.fdo), It will not be re-generated.
* writing display options...


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
juventus_yang
1楼-- · 2019-07-16 02:12
应该是Modelsim在使用之前没有编译ISE的库吧,试试编译下
阿兮
2楼-- · 2019-07-16 03:44
juventus_yang 发表于 2014-9-17 23:40
应该是Modelsim在使用之前没有编译ISE的库吧,试试编译下

已解决 谢谢
kevinvind
3楼-- · 2019-07-16 06:45
 精彩回答 2  元偷偷看……
阿兮
4楼-- · 2019-07-16 07:51
kevinvind 发表于 2014-10-23 15:09
楼主是怎么解决的呢 我遇到类似的问题了

时间有点久了,最近一直在用Quartus,好像就是没有编译库

一周热门 更多>