一个verilog语法问题

2019-07-16 00:46发布

     sda_i <= address_byte[num[2:0]];    这句代码是错的,但我想实现如代码中所示的功能,即address_byte的位宽选择是个变量num[2:0],怎么实现呢?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
294897997
1楼-- · 2019-07-16 03:27
对不住各位,这个语法是正确的,是我定义 address_byte位宽没有做对!
天、
2楼-- · 2019-07-16 04:41
 精彩回答 2  元偷偷看……
ewardb
3楼-- · 2019-07-16 05:47
good。。。。。。。。。。。。。。。。。。。。。。。。。。。。

一周热门 更多>