求助 在quartus里面运行的程序 在ise里面就出现问题了

2019-07-16 00:54发布

我这边做了个程序, 在quartus里面仿真没有任何问题,但到了ise里面之后,编译没有问题,但到了仿真的时候,就感觉好像程序没有在运行一样。
就连一个简单的分频模块,也没有正常,只剩下自己设定的时钟信号,分频输出永远是0
困扰了好几天,整个人处于抓狂状态
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
hellogenius
1楼-- · 2019-07-16 02:37
上图 QQ图片20140320001002.jpg
hellogenius
2楼-- · 2019-07-16 08:37
不知道还有多少人还没睡。。我感觉今晚要彻夜难眠了。。。
hellogenius
3楼-- · 2019-07-16 13:26
 精彩回答 2  元偷偷看……
hellogenius
4楼-- · 2019-07-16 16:19
大神们俺是真心求助啊。。。TUT,万分感谢
hellogenius
5楼-- · 2019-07-16 17:16
自己顶一下,不要让希望破灭
hellogenius
6楼-- · 2019-07-16 22:40
使用版本 ise 12.4 以及 9.2i  都不能达到预期效果

一周热门 更多>