第一个MAX plus仿真,不知问题出在哪里,求赐教!!!

2019-07-16 00:59发布

LIBRARY LEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENtiTY and2 IS
PORT(a,b :IN STD_LOGIC;
                                y:OUT STD_LOGIC);
END and2;
ARCHITECTURE one OF and2 IS
        BEGIN
                y<=a and b;
        END one;
这是我在MAX plus 上测试的第一个程序,2输入与门仿真的VHDL程序,图片是仿真的图形,可是不正确啊,问题会出在哪里呢,会不是是盗版软件的问题,还是我设置有问题呢。程序我完全按照书上来的。

求指导,谢谢。

波形图 波形图
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
hello_2012
1楼-- · 2019-07-16 05:36
仿真结果是正确的,是带有延时信息的仿真结果。请注意功能仿真、时序仿真,两种仿真的差别?
txdyfcy
2楼-- · 2019-07-16 09:46
hello_2012 发表于 2014-2-7 09:55
仿真结果是正确的,是带有延时信息的仿真结果。请注意功能仿真、时序仿真,两种仿真的差别? ...

是功能仿真没有延时信息,还是时序仿真没有呢,如何选择哪种仿真呢
小工兵
3楼-- · 2019-07-16 11:46
现在还用max plus?
普莱斯队长
4楼-- · 2019-07-16 17:33
 精彩回答 2  元偷偷看……
ran_newstar
5楼-- · 2019-07-16 22:37
楼主,同样的代码,我编译无法通过,怎么回事?

一周热门 更多>