基于FPGA的DDS怎么控制幅值?

2019-07-16 01:09发布

本帖最后由 kandy286 于 2013-11-8 00:33 编辑

刚学FPGA,用FPGA+DAC设计的DDS,已实现调频,调相功能。可是调幅该怎么控制呢?有种方案是改变DAC的参考电压实现幅度的调节功能。另外一种方案是在ROM表的输出,用乘法器除法器来运算,不知道该怎么运算。请大家多多指教。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
z00
1楼-- · 2019-07-16 06:10
采用双DDS结构。主DDS生成载波,从DDS生成调制波。

调制波与载波经加法器和乘法器运算后实现调幅;调制波叠加主DDS的FTW可实现调频。调制波叠加主DDS的相位还可以实现调相。

z00
2楼-- · 2019-07-16 09:09
可以参考一下代码:
基于fpga的dds可调幅 调频(1HZ-20M)调相,输出正弦波,方波,锯齿波,三角波:
http://download.csdn.net/detail/zhimeng9011/4424158
youzizhile
3楼-- · 2019-07-16 12:15
 精彩回答 2  元偷偷看……
camp
4楼-- · 2019-07-16 17:59
一般不会改变DAC的Vref的,这不利于DAC芯片的工作, 调幅一般情况是DDS输出的乘法因子决定的。
zgkydx
5楼-- · 2019-07-16 23:50
·········································
zgkydx
6楼-- · 2019-07-17 05:06
···································

一周热门 更多>