FPGA 按键问题

2019-07-16 01:21发布

999999999999999999999.png
第一个问题是圆圈1:为什么要clk啊?我觉得不用也可以。个人觉得。
第一个问题是圆圈2:这句话是什么意思啊?
第一个问题是圆圈3:为什么要if这句呢?为什么不可以是直接的if(!key) led=~led;
会哪个帮我回答那个好了。谢谢拉啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
12条回答
k331922164
1楼-- · 2019-07-16 02:53
这是verilog不是C
haozix521
2楼-- · 2019-07-16 08:04
 精彩回答 2  元偷偷看……
k331922164
3楼-- · 2019-07-16 11:28
用clk是把key同步化,可以减少毛刺
rst_n是全局复位,复位时led<=1'b0;
你的按键没有消抖,建议用计数消抖
shijiang
4楼-- · 2019-07-16 11:28
verilog和C的区别很大,,就说说你的问题3:verilog是并行操作,,不是顺序进行的,,,,
haozix521
5楼-- · 2019-07-16 16:36
shijiang 发表于 2013-8-24 19:58
verilog和C的区别很大,,就说说你的问题3:verilog是并行操作,,不是顺序进行的,,,, ...

但是在always里面是顺序的 啊
shijiang
6楼-- · 2019-07-16 22:01
先复位,,再进行其他操作了那

一周热门 更多>