verilog编写乘法器,modelsim仿真得不到正确结果,求高手!...

2019-07-16 01:22发布

module multiple(                clk,                rst_n,                ain,                bin,                start,                qout,                                          );input clk;input rst_n;input start;input [15:0] ain,bin;output [31:0] qout; reg [15:0] areg,breg;reg [31:0] qout_r; integer i;      always @(posedge clk or negedge rst_n)     begin         if (!rst_n)            begin                 areg<=16'h0000;                 breg<=16'h0000;                 qout_r<=32'h0000_0000;             end         else if (start)                                           begin                                areg<=ain;                                breg<=bin;                               qout_r<=32'h0000_0000;                                for(i=0;i<=15;i=i+1)                                if(breg) qout_r<=qout_r+(areg<<i);                          end      end        assign qout=qout_r;                                                                                                                      endmodule
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
taiyangshenniao
1楼-- · 2019-07-16 03:23
 精彩回答 2  元偷偷看……
清茗
2楼-- · 2019-07-16 04:06

zhege chegnfaqi buwanzheng ,ji meiuyou baokuo fuhao d equwei ,erqie wo juede zai verilog zhong shiyong for yujubushi henhao.
沛凝一鸣
3楼-- · 2019-07-16 08:00
taiyangshenniao 发表于 2013-8-23 09:52
这个乘法器不完整,既没有包括符号的区位,而且我觉得在verilog中使用for语句不是很好。 ...

虽然不是很具体,但还是谢谢你

一周热门 更多>