怎样在Verilog写的testbench测试VHDL模块??

2019-07-16 01:26发布

怎样在Verilog写的testbench测试VHDL模块??一个vhdl的工程模块,怎么用verilog写testbench 来调用模块仿真!!真心求帮助
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
weihuahua123
1楼-- · 2019-07-16 02:08
难道没有大神知道么???{:4:}{:4:}{:4:}
xiewenbin520
2楼-- · 2019-07-16 06:16
verilog的testbench是用来仿真verilog模块的,你仿真VHDL模块要用VHDL写,去百度下,可以找到答案
xiewenbin520
3楼-- · 2019-07-16 10:46
verilog的testbench是用来仿真verilog模块的,你仿真VHDL模块要用VHDL写,去百度下,可以找到答案
lihanmiaomiao
4楼-- · 2019-07-16 11:28
 精彩回答 2  元偷偷看……
weihuahua123
5楼-- · 2019-07-16 16:37
xiewenbin520 发表于 2013-8-3 23:41
verilog的testbench是用来仿真verilog模块的,你仿真VHDL模块要用VHDL写,去百度下,可以找到答案 ...

这个不能用altera-modersim联合仿真。。。版本只支持单独仿真。。。。。如果要,只能用单独版本。。。还存在版本的限制。

一周热门 更多>