ISE用Modelsim SE仿真出错,请大家帮忙看看

2019-07-16 01:30发布

刚装几天ISE和Modelsim SE,仿真从来没成功过。波形图中显示输入的变量全为高阻,输出变量当然就没有值全为红线。
下面是Transcript中的最后几行,大家看看这显示什么问题,谢谢了!
# Top level modules:
#     glbl
# vsim -L xilinxcorelib_ver -L unisims_ver -L unimacro_ver -lib work -voptargs="+acc" -t 1ps work.top glbl
# ** Note: (vsim-3812) Design is being optimized...

#
# Loading work.top(fast)
# Loading work.glbl(fast)

# .main_pane.wave.interior.cs.body.pw.wf
# .main_pane.structure.interior.cs.body.struct
# .main_pane.objects.interior.cs.body.tree

VSIM2>





Transcript Transcript
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
19条回答
cleusewitz
1楼-- · 2019-07-16 18:28
也有可能……  找个范例看看吧……
cleusewitz
2楼-- · 2019-07-16 22:48
总觉得好像就没有运行起来的样子 是不是没设置执行时间?
wenqiinn
3楼-- · 2019-07-17 03:45
这是代码,11分频,占空比不是50%
`timescale 1ns / 1ps
module test (clk,reset,clk11);
input clk,reset;
output clk11;
reg [3:0]i;
reg clk11;
always @( posedge clk)
    begin
            if(!reset)
             begin  
                i<=4'b0;
                 clk11<=0;
             end
       else if(i==4'd5)
             begin
                clk11<=~clk11;
                i<=i+4'b1;
             end
       else if(i==4'd10)
             begin
                i<=4'b0;
                clk11<=~clk11;
             end
       else
          i<=i+4'b1;
    end                 
endmodule
cleusewitz
4楼-- · 2019-07-17 04:31
程序没啥错误……估计还是配置有问题吧  你好好看看
wenqiinn
5楼-- · 2019-07-17 05:51
设了时间,1000ns

V{B8K6HJN2PC]B$M_P[1L5U.jpg
cleusewitz
6楼-- · 2019-07-17 09:11
 精彩回答 2  元偷偷看……

一周热门 更多>