求基于fpga的图像去噪的设计

2019-07-16 01:38发布

求用vrilog语言的用双边滤波算法的图像去噪程序代码。谢谢,急!!!
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
yg19890106
1楼-- · 2019-07-16 05:49
自己写不行么?双边滤波~
yg19890106
2楼-- · 2019-07-16 09:19
还有啊 双边滤波 也要模糊图像细节的。。。   保留细节能力确实比中值滤波和高斯滤波强一些。。。
839897595
3楼-- · 2019-07-16 09:52
yg19890106 发表于 2013-5-12 22:05
还有啊 双边滤波 也要模糊图像细节的。。。   保留细节能力确实比中值滤波和高斯滤波强一些。。。 ...

我不会啊!
看来您是行家,您帮帮忙呗。因为是毕业设计,比较重要哈。

一周热门 更多>