fpga钱接上的AD转换器要怎么接?

2019-07-16 01:41发布

FPGA处理的是数字信号,就需要把模拟信号转换成数字信号才能输入到fpga中,那用什么型号的AD转换芯片合适?还有,AD转换芯片是可以直接接到FPGA上吗?求指导啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
cleusewitz
1楼-- · 2019-07-16 02:18
芯片型号要看你自己需求 要多少位的 多少精度的 多少通道的 是并行还是串行的 然后才能确定,转换的结果一般都是ttl电平的,可以直接接入fpga
计硕在吉大
2楼-- · 2019-07-16 02:55
cleusewitz 发表于 2013-5-3 08:12
芯片型号要看你自己需求 要多少位的 多少精度的 多少通道的 是并行还是串行的 然后才能确定,转换的结果一 ...

能给一些容易懂的AD转换资料吗 ?我是刚接触这些东西,数电也是刚刚学,现在看这些东西很费力啊
yuchunhai1990
3楼-- · 2019-07-16 04:35
还是别直接接到fpga上建议加一个隔离,然后在接到fpga上,这样你ad踩回来的稳定,ad本来就是一个非常难处理的东西,要求精度很高,我们公司用的是ad8321,16位ad你看看你要求精度多少吧
lynnzhang2013
4楼-- · 2019-07-16 08:45
可以接吧,但要看精度满足设计需要不。。还要考虑稳定了。
lihanmiaomiao
5楼-- · 2019-07-16 09:14
 精彩回答 2  元偷偷看……
Clivexp
6楼-- · 2019-07-16 12:04
THS5651A 不知能否满足你的要求

一周热门 更多>