fpga钱接上的AD转换器要怎么接?

2019-07-16 01:41发布

FPGA处理的是数字信号,就需要把模拟信号转换成数字信号才能输入到fpga中,那用什么型号的AD转换芯片合适?还有,AD转换芯片是可以直接接到FPGA上吗?求指导啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
计硕在吉大
1楼-- · 2019-07-16 13:59
计硕在吉大 发表于 2013-5-10 23:00
能给一些容易懂的AD转换资料吗 ?我是刚接触这些东西,数电也是刚刚学,现在看这些东西很费力啊
...

我用的是EP1C6Q240C8N 老师说用芯片本身的管脚就能做AD转换 但是没给我引脚图 这东西到哪能找到?
计硕在吉大
2楼-- · 2019-07-16 16:05
yuchunhai1990 发表于 2013-5-12 08:50
还是别直接接到fpga上建议加一个隔离,然后在接到fpga上,这样你ad踩回来的稳定,ad本来就是一个非常难处理 ...

老师叫我直接用fpga芯片上的AD转换 但是我找不到引脚图什么的 这些东西要去哪找到啊?我用的是EP1C6Q240C8N
yuchunhai1990
3楼-- · 2019-07-16 21:03
fpga全是门电路哪来的AD啊,哥们你好好看看吧

一周热门 更多>