自己写个小程序,这个小程序错在哪呀?

2019-07-16 01:46发布

module FPGA_test(clk,,ctrl_led);
  input clk;//全局时钟
    output reg ctrl_led;
    wire dout;
  IBUFG CLKIN(.I(clk),
      .O(clk_ibufg));
BUFG BUFG_inst(.I(clk_ibufg),
    .O(dout));
always@(dout)
begin
   if((!star_fpga))   
    begin
    ctrl_led<=1'b1;
    end     
  end   
  endmodule
请问全局时钟那块用的对吗?
这个程序一直报ERROR:MapLib:93 - Illegal LOC on IPAD symbol "star_fpga" or BUFGP symbol
但是如果改成always(posedge dout) 程序就没有问题,一直想不通为啥
大家能告诉为啥吗?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。