在DE2开发板上用Verilog实现红外遥控的电子锁。求流程和细节

2019-07-16 01:47发布

RT。跪求大神帮忙啊。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
woder101
1楼-- · 2019-07-16 04:02
大神啊。。求回复。
Huntersjm
2楼-- · 2019-07-16 05:17
我有想过接受遥控器的红外信号,然后解码遥控器的红外信号。之后再产生发出去。如果是电子锁,我觉得你不知道电子锁的解锁信号是不行的。如果你有电子锁遥控,你可以用fpga接受信号解码,存起来,之后使用就可以了。
woder101
3楼-- · 2019-07-16 05:27
Huntersjm 发表于 2013-4-2 18:13
我有想过接受遥控器的红外信号,然后解码遥控器的红外信号。之后再产生发出去。如果是电子锁,我觉得你不知 ...

额。。好复杂。。能具体点不。

一周热门 更多>