关于Quartus II中ROM初始化数据.mif格式文件,在Modelsim中仿真...

2019-07-16 01:49发布

各位大哥;
      小弟最近刚学FPGA,有个问题不懂,希望各位路过的大哥不吝赐教!
      我在Quartus II中调用了一个lpm_rom,用存放正弦波数值的.mif 格式文件去初始化rom.编好了 testbench,编译没问题,但用Modelsim仿真时,出现了下列问题
ERROR: rom1.mif, line 3, Invalid assignment (decimal) to address radix.
# A time value could not be extracted from the current line
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
15条回答
yybbond291
1楼-- · 2019-07-16 18:05
学习了虽然不大懂
oxfish
2楼-- · 2019-07-16 20:38
同问高手!我是在用verilog的系统函数 $fopen时候出现这个问题的。如下截图:
有错程序处:图1
报错信息:图2

有错程序处

有错程序处

程序报错处

程序报错处
Silence.乌托
3楼-- · 2019-07-17 02:14
学习学习!在收藏!
Silence.乌托
4楼-- · 2019-07-17 06:31
 精彩回答 2  元偷偷看……
xiewenbin520
5楼-- · 2019-07-17 11:27
oxfish 发表于 2013-5-27 23:54
同问高手!我是在用verilog的系统函数 $fopen时候出现这个问题的。如下截图:
有错程序处:图1
报错信息: ...

要说明打开文件的方式是读还是写
(金)
6楼-- · 2019-07-17 14:23
好极了                                 

一周热门 更多>