关于FPGA IP核问题

2019-07-16 01:51发布

原来做的单通道的系统  现在想换成多通道的  将原来的cic IP核通道个数升级之后 就出不来了  求大侠帮助~~~
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
Top_focus
1楼-- · 2019-07-16 07:11
没有人知道么???
tonggl150
2楼-- · 2019-07-16 12:00
Xilinx cic ip核设计注意事项:
1)  cic可以通过DSP48E来实现,也可通过逻辑单元来实现,但会消耗大量逻辑单元;
2)  当通过逻辑单元来实现时,sclr复位信号fanout较大,需要单独一拍复位信号;
3)  cic无chan_in信号,复位后第一个nd信号有效时即为chan0数据。

一周热门 更多>