fpga关于wait for语句的时序仿真

2019-07-16 01:59发布

wait for 语句在综合的时候通不过啊,求指导啊!!!
代码:
main : process
begin
  reset_n<='0';
  RxD<='1';
  wait for 200ns;
  reset_n<='1';
  wait for 250ns;
  RxD<='0';
  wait for 100ns;
  RxD<='1';
  wait for 100ns;
  RxD<='0';
  wait for 200ns;
  reset_n<='0';
  RxD<='1';
  wait for 100ns;
  reset_n<='1';
  wait;
end process;
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。