关于alwaysyu语句的问题

2019-07-16 02:13发布

module div50m(clk,co);
input clk;
output co;
reg co;
reg[32:0]q;
always@(posedge clk)
begin
   if(q==50000000-1)
     begin
     q<=0;
     co<=1;
     end
   else
     begin
     q<=q+1;
     co<=0;
     end
end
endmodule
是个很简单的程序,其中always@(posedge clk)程序,input clk并没有对clk赋初值 ,,这个程序为什么会有仿真波形图啊?还有就是什么时候用reg wire型数据啊?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
zhaogaoshi
1楼-- · 2019-07-16 03:45
input clk   是输入时钟。添加激励后,仿真会有波形的。至于reg 和wire    reg 为寄存器型,用在always语句块中,wire为线网型,相当于内部连线,可以用在always ,initial  assign 中
yizhimao
2楼-- · 2019-07-16 07:46
zhaogaoshi 发表于 2012-6-26 09:49
input clk   是输入时钟。添加激励后,仿真会有波形的。至于reg 和wire    reg 为寄存器型,用在always语句 ...

3Q,十分感谢

一周热门 更多>