程序问题求教

2019-07-16 02:21发布

Error (10327): VHDL error at CNT16.vhd(17): can't determine definition of operator ""="" -- found 0 possible definitions这个是什么问题,怎么改啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
t_and_t
1楼-- · 2019-07-16 02:47
运算符没有定义,检查一下LIBRARY
kissinger1988
2楼-- · 2019-07-16 07:40
t_and_t 发表于 2012-3-22 09:19
运算符没有定义,检查一下LIBRARY

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT16 IS
PORT(CLK,RST,EN:IN STD_LOGIC;
      CHOOSE:IN BIT;
      SETDATA:BUFFER INTEGER RANGE 65535 DOWNTO 0;
      COUT: BUFFER INTEGER RANGE 65535 DOWNTO 0);
END CNT16;
ARCHITECTURE ONE OF CNT16 IS
BEGIN
   PROCESS(CLK,RST,SETDATA)
      VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0);
       BEGIN
IF RST='1' THEN --计数器异步复位
            QI:=(OTHERS=>'0');
ELSIF SETDATA='1' THEN--计数器一步置位
QI:=SETDATA;
ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿
       IF EN='1' THEN --检测是否允许计数
IF CHOOSE='1' THEN --选择加法计数
           QI:=QI+1;    --计数器加一
            ELSE QI:=QI-1; --计数器加一
            END IF;
END IF;
END IF;
     COUT<=QI;--将计数值向端口输出
END PROCESS;
END ONE;
这个程序哪里错了呢?请教一下
beiguo8112
3楼-- · 2019-07-16 13:36
 精彩回答 2  元偷偷看……
hainiu312
4楼-- · 2019-07-16 14:34
ppppppppppppppp

一周热门 更多>