quartus 11.0 和 modelsim 6.5能一起用吗

2019-07-16 02:24发布

本人初学,想学习用modelsim,可是今天调了一下午也没调出来,大家帮帮忙呗
module test(clk,out);
input clk;
output reg[7:0] out;

reg[24:0] count;

always @ (posedge clk)
begin
        if(out == 0)out = 1;
        if(count==25000000)//25MHz
        begin
                count <= 0;
                if(out == 8'b1000_0000)out = 8'b0000_0001;
                else out = out << 1;
        end
        else
        begin
                count <= count + 1;
        end
end
endmodule

测试文件为
`timescale 1ns/1ps
module testtop;
reg clk,reset;
wire [7:0] out;
parameter period = 40;
test top(.clk(clk),.out(out));
initial begin
        clk = 0;
        reset = 0;
        #10000;
        reset = 1;
        forever #(period/2) clk=~clk;
       
        end
endmodule

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
z00
1楼-- · 2019-07-16 04:00
 精彩回答 2  元偷偷看……
youzizhile
2楼-- · 2019-07-16 09:03
本帖最后由 youzizhile 于 2014-4-26 15:57 编辑

可以一起使用,
你的仿真终止时间太短了,也就是说,你的仿真时间是产生不了25000000个计数值的,在加长仿真时间,或者是改变clk的周期值。现在的仿真中在1ns中只有25个clk,修改为`timescale 10ms/1ps
hellobingo
3楼-- · 2019-07-16 13:37
可以使用的哦,用NativeLink可以直接调用Modelsim仿真的。楼主仿真文件时钟应该写在initial外面吧,始终是有的

评分

参与人数 1积分 +10 收起 理由 zhou2sheng + 10 感谢您的参与,您的支持是我们最大的动力.

查看全部评分

一周热门 更多>