求大神,怎么样将74LS74的初始化Q的输出设置为低电平呀??

2019-07-17 16:09发布

how to SPECIFY INItiAL STATUS OF Q OUTPUT in 74LS74 我这样在谷歌搜不到有用的。我在proteus上都能双击设置Q的输出为低电平,那么在multisim里面该怎么设置呢?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
JQ_Lin
1楼-- · 2019-07-17 21:33
重要的是,在电路设计上,要保证上电后的初始状态为所要求的状态。
而仿真中的设置仅仅是为仿真提供方便而已,不要同实际电路对等。

企鹅是鸟但不会飞
2楼-- · 2019-07-17 22:08
 精彩回答 2  元偷偷看……
企鹅是鸟但不会飞
3楼-- · 2019-07-18 03:22
11111111111111.PNG
11111111111111.PNG
11111111111111.PNG
JQ_Lin
4楼-- · 2019-07-18 03:41
企鹅是鸟但不会飞 发表于 2017-5-8 10:58

请说明清楚,该电路要实现的功能是什么?
为什么将数据输入端、预置端和清零端都接至电源?
时钟信号的初始电平如何?

企鹅是鸟但不会飞
5楼-- · 2019-07-18 03:56
 精彩回答 2  元偷偷看……
企鹅是鸟但不会飞
6楼-- · 2019-07-18 05:32
本帖最后由 企鹅是鸟但不会飞 于 2017-5-8 13:45 编辑

333333.PNG
222222.PNG

一周热门 更多>