问一个关于AD的问题

2019-03-24 15:48发布

我把一个正弦波转成数字信号存在存储器里,在读出来的时候两个波形总是不重合。有相位差怎么解决? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
ddllxxrr
1楼-- · 2019-03-24 21:13
 精彩回答 2  元偷偷看……
zhangdaoyu
2楼-- · 2019-03-25 01:01
< :TI_MSP430_内容页_SA7 -->

确实是个解决办法

周星星在哈工程
3楼-- · 2019-03-25 04:07

一周热门 更多>

相关问题

    相关文章