240 私信
这个人很懒,暂无签名信息
0

USRP_N210R4 verilog代码分析一:gpio_atr模块

本文有hitter整理编辑,如需引用请注明出处。   总述:... 1 一、.. 原始代码以及RTL视图... 1 1    gpio_atr模块源码... 1 2    gpio_atr模块RTL视图... 3 3    setting_reg模块源码... 4 4    setting_reg模块源码... 5 二、. gpio_atr中次级模块例化分析... 6 三、. gpio_atr内实...

0

XTU1201:模和除

题目描写叙述 两个整数x和y,满足1

个人介绍
暂无介绍