240 私信
这个人很懒,暂无签名信息
0

Verilog分频器

verilog设计进阶 时间:2014年5月6日星期二   主要收获: 1.自己动手写了第一个verilog程序。   题目: 利用10M的时钟,设计一个单周期形状如下的周期波形。   思考: 最开始的想法是:定义两个计数器进行计数,两个使能标志位分别控制这两个变量。但是这样逻辑又太复杂,网上搜了搜,还是定义一个计数器比较好。   verilog程序: modulefdivision(clk, ...

个人介绍
暂无介绍