240 私信
这个人很懒,暂无签名信息
0

altium Designer中如何单层操作,屏蔽其它层

以AD18版本为例, 点击PCB左下角的层选择最左侧标签,如下图: 弹出如下对话框: 把off切换到on即可,

0

嵌入式开发制作最小的linux文件系统

要求:编译器的版本是4.4.6   查看当前交叉编译器的版本:arm-linux-gcc -v   如果不是4.4.6编译器,需要修改一下两个文件:   vim /home/tarena/.bashrc   删除最后一行: export PATH = /opt/arm-2009q3...   sudo vim /etc/environment添加4.4.6的支持   最小根文件系统!!!   1....

0

vhdl语言60进制计数器及其数码显示

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledcnt60 is port(clk,clr,ena:in std_logic;      cnt10,cnt6:out std_logic_vector(3 downto 0);      carry_out:out std_logi...

个人介绍
暂无介绍